Lattice ECP5 开源工具的安装部署(Yosys+Trellis+Nextpnr)

/ 0评 / 0

从来没有无缘无故的开始,部署这个还是因为很多好的开源项目都没用商业IDE,没办法啊,那就学着吧,资料太少了,可能因为太基础吧,这里以Debian 12为例,其他也差不多.

安装一些常用软件(我的开发环境)

apt install iptables fio screen ca-certificates screen cmake gcc perl make wget curl htop python3-dev python3-pip gcc g++ git vim libboost-all-dev libeigen3-dev build-essential clang bison flex libreadline-dev gawk tcl-dev libffi-dev git graphviz xdot pkg-config python3 libboost-system-dev libboost-python-dev libboost-filesystem-dev zlib1g-dev libjson-c-dev libftdi1-2 libftdi1-dev libudev-dev rsync libhidapi-dev unzip -y

prjtrellis - Terllis Project

git clone --recursive https://github.com/YosysHQ/prjtrellis
cd prjtrellis/libtrellis
cmake .
make install

如果提示Python版本不匹配(通常是因为用的系统版本和开发者不同导致的)建议更改系统,非要修改CMakelist来控制容易产生不可预知问题.

nextpnr - a portable FPGA place and route tool

git clone --recursive https://github.com/YosysHQ/nextpnr
cmake -DARCH=ecp5 . 
make install

Yoyos - Synthesis Tools

git clone https://github.com/YosysHQ/yosys
cd yosys && mkdir build
cd build
make -j20 -f ../Makefile install

icesprog - 我手上的ECP5板子依然用这个工具编程

mkdir icesprog && cd icesprog
wget https://github.com/wuxx/icesugar/archive/refs/tags/v1.1b.zip
unzip v1.1b.zip
cd icesugar-1.1b/tools/src
make

总体不难但是步骤较多.

发表回复

您的电子邮箱地址不会被公开。 必填项已用 * 标注